aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/sns01/sns02.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/sns01/sns02.vhdl')
-rw-r--r--testsuite/synth/sns01/sns02.vhdl13
1 files changed, 0 insertions, 13 deletions
diff --git a/testsuite/synth/sns01/sns02.vhdl b/testsuite/synth/sns01/sns02.vhdl
deleted file mode 100644
index c68ddbd90..000000000
--- a/testsuite/synth/sns01/sns02.vhdl
+++ /dev/null
@@ -1,13 +0,0 @@
-library ieee;
-use ieee.std_logic_1164.all;
-use ieee.std_logic_misc.all;
-
-entity sns02 is
- port (a : std_logic_vector(7 downto 0);
- b : out std_logic);
-end sns02;
-
-architecture behav of sns02 is
-begin
- b <= or_reduce(a);
-end behav;