aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/oper02/urot01.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/oper02/urot01.vhdl')
-rw-r--r--testsuite/synth/oper02/urot01.vhdl17
1 files changed, 17 insertions, 0 deletions
diff --git a/testsuite/synth/oper02/urot01.vhdl b/testsuite/synth/oper02/urot01.vhdl
new file mode 100644
index 000000000..e798e09dd
--- /dev/null
+++ b/testsuite/synth/oper02/urot01.vhdl
@@ -0,0 +1,17 @@
+library ieee;
+ use ieee.std_logic_1164.all;
+ use ieee.numeric_std.all;
+
+entity Urot01 is
+ port(
+ v : in unsigned(7 downto 0);
+ ro : out unsigned(7 downto 0);
+ lo : out unsigned(7 downto 0)
+ );
+end Urot01;
+
+architecture rtl of Urot01 is
+begin
+ ro <= v ror 1;
+ lo <= v rol 1;
+end rtl;