aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/oper01/tb_snum04.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/oper01/tb_snum04.vhdl')
-rw-r--r--testsuite/synth/oper01/tb_snum04.vhdl20
1 files changed, 20 insertions, 0 deletions
diff --git a/testsuite/synth/oper01/tb_snum04.vhdl b/testsuite/synth/oper01/tb_snum04.vhdl
new file mode 100644
index 000000000..97bb89754
--- /dev/null
+++ b/testsuite/synth/oper01/tb_snum04.vhdl
@@ -0,0 +1,20 @@
+entity tb_snum04 is
+end tb_snum04;
+
+library ieee;
+use ieee.std_logic_1164.all;
+
+architecture behav of tb_snum04 is
+ signal r : boolean;
+begin
+ cmp04_1: entity work.snum04
+ port map (r);
+
+ process
+ begin
+ wait for 1 ns;
+ assert r severity failure;
+
+ wait;
+ end process;
+end behav;