aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/mem01/dpram2.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/mem01/dpram2.vhdl')
-rw-r--r--testsuite/synth/mem01/dpram2.vhdl24
1 files changed, 24 insertions, 0 deletions
diff --git a/testsuite/synth/mem01/dpram2.vhdl b/testsuite/synth/mem01/dpram2.vhdl
new file mode 100644
index 000000000..c21a0ee78
--- /dev/null
+++ b/testsuite/synth/mem01/dpram2.vhdl
@@ -0,0 +1,24 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+entity dpram2 is
+ port (raddr : std_logic_vector (3 downto 0);
+ rdat : out std_logic_vector (7 downto 0);
+ waddr : std_logic_vector (3 downto 0);
+ wdat : std_logic_vector (7 downto 0);
+ clk : std_logic);
+end dpram2;
+
+architecture behav of dpram2 is
+begin
+ process (clk)
+ type memtype is array (15 downto 0) of std_logic_vector (7 downto 0);
+ variable mem : memtype;
+ begin
+ if rising_edge (clk) then
+ rdat <= mem (to_integer(unsigned (raddr)));
+ mem (to_integer(unsigned (waddr))) := wdat;
+ end if;
+ end process;
+end behav;