aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue938/testsuite.sh
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/issue938/testsuite.sh')
-rwxr-xr-xtestsuite/synth/issue938/testsuite.sh16
1 files changed, 16 insertions, 0 deletions
diff --git a/testsuite/synth/issue938/testsuite.sh b/testsuite/synth/issue938/testsuite.sh
new file mode 100755
index 000000000..ea5722dfc
--- /dev/null
+++ b/testsuite/synth/issue938/testsuite.sh
@@ -0,0 +1,16 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze latches.vhdl tb_latches.vhdl
+elab_simulate tb_latches
+
+clean
+
+synth --latches latches.vhdl -e > syn_latches.vhdl
+analyze syn_latches.vhdl tb_latches.vhdl
+elab_simulate tb_latches
+
+clean
+
+echo "Test successful"