diff options
Diffstat (limited to 'testsuite/synth/issue34/repro_sgn.vhdl')
-rw-r--r-- | testsuite/synth/issue34/repro_sgn.vhdl | 44 |
1 files changed, 44 insertions, 0 deletions
diff --git a/testsuite/synth/issue34/repro_sgn.vhdl b/testsuite/synth/issue34/repro_sgn.vhdl new file mode 100644 index 000000000..fa23693d3 --- /dev/null +++ b/testsuite/synth/issue34/repro_sgn.vhdl @@ -0,0 +1,44 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +entity sub_sgn is + port ( + clk : in std_logic; + a : in signed(7 downto 0); + b : out signed(7 downto 0) + ); +end sub_sgn; + +architecture rtl of sub_sgn is +begin + process(clk) + begin + if rising_edge(clk) then + b <= a; + end if; + end process; +end rtl; + + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +entity repro_sgn is + port ( + clk : in std_logic; + a : in signed(7 downto 0); + b : out signed(7 downto 0) + ); +end repro_sgn; + +architecture rtl of repro_sgn is +begin + i_sub_sgn : entity work.sub_sgn + port map ( + clk => clk, + a => a, + b => b + ); +end rtl; |