aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1563/tb_bug2.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/issue1563/tb_bug2.vhdl')
-rw-r--r--testsuite/synth/issue1563/tb_bug2.vhdl24
1 files changed, 24 insertions, 0 deletions
diff --git a/testsuite/synth/issue1563/tb_bug2.vhdl b/testsuite/synth/issue1563/tb_bug2.vhdl
new file mode 100644
index 000000000..b72909a59
--- /dev/null
+++ b/testsuite/synth/issue1563/tb_bug2.vhdl
@@ -0,0 +1,24 @@
+entity tb_bug2 is
+end tb_bug2;
+
+library ieee;
+use ieee.std_logic_1164.all;
+
+architecture behav of tb_bug2 is
+ signal clk : std_logic;
+ signal o : std_logic_vector(3 downto 0);
+begin
+ dut: entity work.bug2
+ port map (clk, o);
+
+ process
+ begin
+ clk <= '0';
+ wait for 1 ns;
+ assert o = "UUUU" severity failure;
+ clk <= '1';
+ wait for 1 ns;
+ assert o = "0110" severity failure;
+ wait;
+ end process;
+end behav;