aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1406/repro.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/issue1406/repro.vhdl')
-rw-r--r--testsuite/synth/issue1406/repro.vhdl16
1 files changed, 16 insertions, 0 deletions
diff --git a/testsuite/synth/issue1406/repro.vhdl b/testsuite/synth/issue1406/repro.vhdl
new file mode 100644
index 000000000..a56d9f934
--- /dev/null
+++ b/testsuite/synth/issue1406/repro.vhdl
@@ -0,0 +1,16 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity repro is
+ port (a, b : natural range 0 to 3;
+ o : out std_ulogic);
+end;
+
+architecture behav of repro is
+ type table_2d is array (0 to 3, 0 to 3) of std_ulogic;
+
+ constant table : table_2d := ("0011", "1100", "0101", "1010");
+begin
+ o <= table(a,b);
+end;
+