aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1310
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/issue1310')
-rw-r--r--testsuite/synth/issue1310/issue2.vhdl2
1 files changed, 2 insertions, 0 deletions
diff --git a/testsuite/synth/issue1310/issue2.vhdl b/testsuite/synth/issue1310/issue2.vhdl
index 4fbe56000..9d583d524 100644
--- a/testsuite/synth/issue1310/issue2.vhdl
+++ b/testsuite/synth/issue1310/issue2.vhdl
@@ -16,4 +16,6 @@ begin
assert (signed'("0111") >= signed'("1111"));
assert (signed'("1111") < signed'("0111"));
assert (signed'("1111") <= signed'("0111"));
+
+ assert signed'("1111") = -1;
end architecture beh;