aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1283/issue4.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/issue1283/issue4.vhdl')
-rw-r--r--testsuite/synth/issue1283/issue4.vhdl16
1 files changed, 16 insertions, 0 deletions
diff --git a/testsuite/synth/issue1283/issue4.vhdl b/testsuite/synth/issue1283/issue4.vhdl
new file mode 100644
index 000000000..41078caf7
--- /dev/null
+++ b/testsuite/synth/issue1283/issue4.vhdl
@@ -0,0 +1,16 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity issue4 is
+end issue4;
+
+architecture beh of issue4 is
+ type t_rec is
+ record
+ elem : std_logic_vector (3 downto 0);
+ end record;
+
+ signal foo : std_logic_vector (4 downto 0);
+begin
+ assert t_rec'(elem => foo) = t_rec'(elem => foo);
+end architecture beh;