aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1283/issue1.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/issue1283/issue1.vhdl')
-rw-r--r--testsuite/synth/issue1283/issue1.vhdl15
1 files changed, 15 insertions, 0 deletions
diff --git a/testsuite/synth/issue1283/issue1.vhdl b/testsuite/synth/issue1283/issue1.vhdl
new file mode 100644
index 000000000..ee001e537
--- /dev/null
+++ b/testsuite/synth/issue1283/issue1.vhdl
@@ -0,0 +1,15 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity issue1 is
+end issue1;
+
+architecture beh of issue1 is
+ type t_rec is
+ record
+ elem : std_logic_vector;
+ end record;
+begin
+ assert t_rec'(elem => "000") = t_rec'(elem => "000");
+ assert t_rec'(elem => "001") = t_rec'(elem => "000") severity note;
+end architecture beh;