diff options
Diffstat (limited to 'testsuite/synth/issue1240/issue3.vhdl')
-rw-r--r-- | testsuite/synth/issue1240/issue3.vhdl | 17 |
1 files changed, 17 insertions, 0 deletions
diff --git a/testsuite/synth/issue1240/issue3.vhdl b/testsuite/synth/issue1240/issue3.vhdl new file mode 100644 index 000000000..85410cc0e --- /dev/null +++ b/testsuite/synth/issue1240/issue3.vhdl @@ -0,0 +1,17 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +entity issue3 is + port (foo : in integer; + foobar : out signed (3 downto 0)); +end issue3; + +architecture beh of issue3 is + subtype n_int is integer range -1 to 1; +begin + with n_int'(foo) select + foobar <= signed'("0001") when -1, + signed'("0010") when 0, + signed'("0011") when 1; +end architecture; |