aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1164
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/issue1164')
-rw-r--r--testsuite/synth/issue1164/comp.vhdl8
-rwxr-xr-xtestsuite/synth/issue1164/testsuite.sh3
2 files changed, 10 insertions, 1 deletions
diff --git a/testsuite/synth/issue1164/comp.vhdl b/testsuite/synth/issue1164/comp.vhdl
new file mode 100644
index 000000000..676b960a2
--- /dev/null
+++ b/testsuite/synth/issue1164/comp.vhdl
@@ -0,0 +1,8 @@
+library IEEE;
+use IEEE.std_logic_1164.all;
+
+entity comp is
+ port (
+ data : in std_logic_vector
+ );
+end comp;
diff --git a/testsuite/synth/issue1164/testsuite.sh b/testsuite/synth/issue1164/testsuite.sh
index 2be3b2f1a..bf7cf9409 100755
--- a/testsuite/synth/issue1164/testsuite.sh
+++ b/testsuite/synth/issue1164/testsuite.sh
@@ -2,7 +2,8 @@
. ../../testenv.sh
-synth_analyze bug
+synth bug.vhdl -e > syn_bug.vhdl
+analyze comp.vhdl syn_bug.vhdl
clean