aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1164
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2021-11-12 18:51:46 +0100
committerTristan Gingold <tgingold@free.fr>2021-11-12 18:52:58 +0100
commitc2b6b4fe03c879753c76f5bee9f0ffe3b0e73f52 (patch)
tree4ecb1000d2bc6bee27d4d3f43769af0a983e8deb /testsuite/synth/issue1164
parentb5a0a2bbd7110f105f7d8370b7d8773ec4a463ab (diff)
downloadghdl-c2b6b4fe03c879753c76f5bee9f0ffe3b0e73f52.tar.gz
ghdl-c2b6b4fe03c879753c76f5bee9f0ffe3b0e73f52.tar.bz2
ghdl-c2b6b4fe03c879753c76f5bee9f0ffe3b0e73f52.zip
testsuite/synth: adjust test after previous commit
Diffstat (limited to 'testsuite/synth/issue1164')
-rw-r--r--testsuite/synth/issue1164/comp.vhdl8
-rwxr-xr-xtestsuite/synth/issue1164/testsuite.sh3
2 files changed, 10 insertions, 1 deletions
diff --git a/testsuite/synth/issue1164/comp.vhdl b/testsuite/synth/issue1164/comp.vhdl
new file mode 100644
index 000000000..676b960a2
--- /dev/null
+++ b/testsuite/synth/issue1164/comp.vhdl
@@ -0,0 +1,8 @@
+library IEEE;
+use IEEE.std_logic_1164.all;
+
+entity comp is
+ port (
+ data : in std_logic_vector
+ );
+end comp;
diff --git a/testsuite/synth/issue1164/testsuite.sh b/testsuite/synth/issue1164/testsuite.sh
index 2be3b2f1a..bf7cf9409 100755
--- a/testsuite/synth/issue1164/testsuite.sh
+++ b/testsuite/synth/issue1164/testsuite.sh
@@ -2,7 +2,8 @@
. ../../testenv.sh
-synth_analyze bug
+synth bug.vhdl -e > syn_bug.vhdl
+analyze comp.vhdl syn_bug.vhdl
clean