aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1163/testsuite.sh
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/issue1163/testsuite.sh')
-rwxr-xr-xtestsuite/synth/issue1163/testsuite.sh10
1 files changed, 10 insertions, 0 deletions
diff --git a/testsuite/synth/issue1163/testsuite.sh b/testsuite/synth/issue1163/testsuite.sh
new file mode 100755
index 000000000..814df991c
--- /dev/null
+++ b/testsuite/synth/issue1163/testsuite.sh
@@ -0,0 +1,10 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+for f in bug bug2 bug3; do
+ synth_analyze $f
+ clean
+done
+
+echo "Test successful"