aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1161/tb_issue3.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/issue1161/tb_issue3.vhdl')
-rw-r--r--testsuite/synth/issue1161/tb_issue3.vhdl18
1 files changed, 18 insertions, 0 deletions
diff --git a/testsuite/synth/issue1161/tb_issue3.vhdl b/testsuite/synth/issue1161/tb_issue3.vhdl
new file mode 100644
index 000000000..c37a41e3b
--- /dev/null
+++ b/testsuite/synth/issue1161/tb_issue3.vhdl
@@ -0,0 +1,18 @@
+entity tb_issue3 is
+end tb_issue3;
+
+library ieee;
+use ieee.std_logic_1164.all;
+
+architecture behav of tb_issue3 is
+ signal a : std_logic_vector (3 downto 0);
+begin
+ dut: entity work.issue3
+ port map (a);
+
+ process
+ begin
+ assert a = "0110" severity failure;
+ wait;
+ end process;
+end behav;