aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1127/testsuite.sh
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/issue1127/testsuite.sh')
-rwxr-xr-xtestsuite/synth/issue1127/testsuite.sh11
1 files changed, 11 insertions, 0 deletions
diff --git a/testsuite/synth/issue1127/testsuite.sh b/testsuite/synth/issue1127/testsuite.sh
new file mode 100755
index 000000000..9e68d3bed
--- /dev/null
+++ b/testsuite/synth/issue1127/testsuite.sh
@@ -0,0 +1,11 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+for t in foo; do
+ synth $t.vhdl -e $t > syn_$t.vhdl
+ analyze syn_$t.vhdl
+ clean
+done
+
+echo "Test successful"