aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1113/memory_depth_one.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/issue1113/memory_depth_one.vhdl')
-rw-r--r--testsuite/synth/issue1113/memory_depth_one.vhdl16
1 files changed, 16 insertions, 0 deletions
diff --git a/testsuite/synth/issue1113/memory_depth_one.vhdl b/testsuite/synth/issue1113/memory_depth_one.vhdl
new file mode 100644
index 000000000..36152c63a
--- /dev/null
+++ b/testsuite/synth/issue1113/memory_depth_one.vhdl
@@ -0,0 +1,16 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+entity memory_depth_one is
+ port (
+ address: in unsigned(0 downto 0);
+ output: out std_logic
+ );
+end entity;
+
+architecture arch of memory_depth_one is
+ constant store: std_logic_vector(0 downto 0) := "0";
+begin
+ output <= store(to_integer(address));
+end arch;