aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1069/testsuite.sh
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/issue1069/testsuite.sh')
-rwxr-xr-xtestsuite/synth/issue1069/testsuite.sh1
1 files changed, 1 insertions, 0 deletions
diff --git a/testsuite/synth/issue1069/testsuite.sh b/testsuite/synth/issue1069/testsuite.sh
index 56de36366..783a38666 100755
--- a/testsuite/synth/issue1069/testsuite.sh
+++ b/testsuite/synth/issue1069/testsuite.sh
@@ -3,6 +3,7 @@
. ../../testenv.sh
synth_tb tdp_ram
+synth_tb tdp_ram2
synth_tb ram3
synth_tb ram4
#synth_tb ram41