aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1064/tb_testrec.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/issue1064/tb_testrec.vhdl')
-rw-r--r--testsuite/synth/issue1064/tb_testrec.vhdl20
1 files changed, 20 insertions, 0 deletions
diff --git a/testsuite/synth/issue1064/tb_testrec.vhdl b/testsuite/synth/issue1064/tb_testrec.vhdl
new file mode 100644
index 000000000..04bf98b88
--- /dev/null
+++ b/testsuite/synth/issue1064/tb_testrec.vhdl
@@ -0,0 +1,20 @@
+entity tb_testrec is
+end tb_testrec;
+
+library ieee;
+use ieee.std_logic_1164.all;
+
+architecture behav of tb_testrec is
+ signal a : std_logic;
+ signal b : std_logic;
+begin
+ dut: entity work.testrec
+ port map (a, b);
+
+ process
+ begin
+ wait for 1 ns;
+ assert b = '0' severity failure;
+ wait;
+ end process;
+end behav;