aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1034/ent.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/issue1034/ent.vhdl')
-rw-r--r--testsuite/synth/issue1034/ent.vhdl14
1 files changed, 14 insertions, 0 deletions
diff --git a/testsuite/synth/issue1034/ent.vhdl b/testsuite/synth/issue1034/ent.vhdl
new file mode 100644
index 000000000..371e687be
--- /dev/null
+++ b/testsuite/synth/issue1034/ent.vhdl
@@ -0,0 +1,14 @@
+use work.p.all;
+
+entity ent is
+ generic (
+ WIDTH : natural := 8
+ );
+ port (
+ test : in bit_vector(id(WIDTH)-1 downto 0)
+ );
+end ent;
+
+architecture a of ent is
+begin
+end a;