aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/fsm03/assert5.psl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/fsm03/assert5.psl')
-rw-r--r--testsuite/synth/fsm03/assert5.psl6
1 files changed, 6 insertions, 0 deletions
diff --git a/testsuite/synth/fsm03/assert5.psl b/testsuite/synth/fsm03/assert5.psl
new file mode 100644
index 000000000..9edfc174f
--- /dev/null
+++ b/testsuite/synth/fsm03/assert5.psl
@@ -0,0 +1,6 @@
+vunit assert5 (ent)
+{
+ default clock is rising_edge(clk);
+ --my_cond: assert always (({req} |=> {ack}) abort val = '1');
+ my_cond: assert always ({req} |=> {ack});
+}