aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/fsm03/assert1.psl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/fsm03/assert1.psl')
-rw-r--r--testsuite/synth/fsm03/assert1.psl5
1 files changed, 5 insertions, 0 deletions
diff --git a/testsuite/synth/fsm03/assert1.psl b/testsuite/synth/fsm03/assert1.psl
new file mode 100644
index 000000000..54cbb7ddb
--- /dev/null
+++ b/testsuite/synth/fsm03/assert1.psl
@@ -0,0 +1,5 @@
+vunit assert1 (ent)
+{
+ default clock is rising_edge(clk);
+ assert always req -> eventually! ack;
+}