aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/forgen01/tb_forgen02.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/forgen01/tb_forgen02.vhdl')
-rw-r--r--testsuite/synth/forgen01/tb_forgen02.vhdl19
1 files changed, 19 insertions, 0 deletions
diff --git a/testsuite/synth/forgen01/tb_forgen02.vhdl b/testsuite/synth/forgen01/tb_forgen02.vhdl
new file mode 100644
index 000000000..21615615b
--- /dev/null
+++ b/testsuite/synth/forgen01/tb_forgen02.vhdl
@@ -0,0 +1,19 @@
+entity tb_forgen02 is
+end tb_forgen02;
+
+library ieee;
+use ieee.std_logic_1164.all;
+
+architecture behav of tb_forgen02 is
+ signal a : std_logic_vector (7 downto 0);
+begin
+ dut: entity work.forgen02
+ port map (a);
+
+ process
+ begin
+ wait for 1 ns;
+ assert a = x"00" severity failure;
+ wait;
+ end process;
+end behav;