aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/enum01/test.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/enum01/test.vhdl')
-rw-r--r--testsuite/synth/enum01/test.vhdl25
1 files changed, 25 insertions, 0 deletions
diff --git a/testsuite/synth/enum01/test.vhdl b/testsuite/synth/enum01/test.vhdl
new file mode 100644
index 000000000..6709ea1c7
--- /dev/null
+++ b/testsuite/synth/enum01/test.vhdl
@@ -0,0 +1,25 @@
+use work.test_pkg.all;
+
+entity test is
+ port (
+ x : in number_t;
+ y : in number_t;
+
+ eq : out boolean;
+ neq : out boolean;
+ lt : out boolean;
+ lte : out boolean;
+ gt : out boolean;
+ gte : out boolean
+ );
+end entity;
+
+architecture a of test is
+begin
+ eq <= x = y;
+ neq <= x /= y;
+ lt <= x < y;
+ lte <= x <= y;
+ gt <= x > y;
+ gte <= x >= y;
+end architecture;