aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dispvhdl01/vhd02.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/dispvhdl01/vhd02.vhdl')
-rw-r--r--testsuite/synth/dispvhdl01/vhd02.vhdl13
1 files changed, 13 insertions, 0 deletions
diff --git a/testsuite/synth/dispvhdl01/vhd02.vhdl b/testsuite/synth/dispvhdl01/vhd02.vhdl
new file mode 100644
index 000000000..ddee5c316
--- /dev/null
+++ b/testsuite/synth/dispvhdl01/vhd02.vhdl
@@ -0,0 +1,13 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use work.pkg.all;
+
+entity vhd02 is
+ port (i1 : my_rec;
+ o1 : out my_rec);
+end vhd02;
+
+architecture behav of vhd02 is
+begin
+ o1 <= i1;
+end behav;