aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dispout01/rec09.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/dispout01/rec09.vhdl')
-rw-r--r--testsuite/synth/dispout01/rec09.vhdl13
1 files changed, 13 insertions, 0 deletions
diff --git a/testsuite/synth/dispout01/rec09.vhdl b/testsuite/synth/dispout01/rec09.vhdl
new file mode 100644
index 000000000..f009a7026
--- /dev/null
+++ b/testsuite/synth/dispout01/rec09.vhdl
@@ -0,0 +1,13 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use work.rec09_pkg.all;
+
+entity rec09 is
+ port (inp : std_logic;
+ o : out myrec);
+end rec09;
+
+architecture behav of rec09 is
+begin
+ o.b <= not inp;
+end behav;