aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dispout01/rec02.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/dispout01/rec02.vhdl')
-rw-r--r--testsuite/synth/dispout01/rec02.vhdl14
1 files changed, 14 insertions, 0 deletions
diff --git a/testsuite/synth/dispout01/rec02.vhdl b/testsuite/synth/dispout01/rec02.vhdl
new file mode 100644
index 000000000..a93bc9f30
--- /dev/null
+++ b/testsuite/synth/dispout01/rec02.vhdl
@@ -0,0 +1,14 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use work.rec02_pkg.all;
+
+entity rec02 is
+ port (inp : std_logic;
+ o : out myrec);
+end rec02;
+
+architecture behav of rec02 is
+begin
+ o.b <= not inp;
+ o.a <= 3 when inp = '1' else 5;
+end behav;