aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dispout01/pkg_rec07.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/dispout01/pkg_rec07.vhdl')
-rw-r--r--testsuite/synth/dispout01/pkg_rec07.vhdl9
1 files changed, 9 insertions, 0 deletions
diff --git a/testsuite/synth/dispout01/pkg_rec07.vhdl b/testsuite/synth/dispout01/pkg_rec07.vhdl
new file mode 100644
index 000000000..7c5775b5c
--- /dev/null
+++ b/testsuite/synth/dispout01/pkg_rec07.vhdl
@@ -0,0 +1,9 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+package rec07_pkg is
+ type myrec is record
+ a : bit_vector (3 downto 0);
+ b : std_logic;
+ end record;
+end rec07_pkg;