aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dispin01/tb_rec02.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/dispin01/tb_rec02.vhdl')
-rw-r--r--testsuite/synth/dispin01/tb_rec02.vhdl39
1 files changed, 39 insertions, 0 deletions
diff --git a/testsuite/synth/dispin01/tb_rec02.vhdl b/testsuite/synth/dispin01/tb_rec02.vhdl
new file mode 100644
index 000000000..18b326047
--- /dev/null
+++ b/testsuite/synth/dispin01/tb_rec02.vhdl
@@ -0,0 +1,39 @@
+entity tb_rec02 is
+end tb_rec02;
+
+library ieee;
+use ieee.std_logic_1164.all;
+use work.rec02_pkg.all;
+
+architecture behav of tb_rec02 is
+ signal inp : myrec;
+ signal r : std_logic;
+begin
+ dut: entity work.rec02
+ port map (inp => inp, o => r);
+
+ process
+ begin
+ inp.a <= 5;
+ inp.b <= '1';
+ wait for 1 ns;
+ assert r = '1' severity failure;
+
+ inp.a <= 2;
+ inp.b <= '1';
+ wait for 1 ns;
+ assert r = '0' severity failure;
+
+ inp.a <= 1;
+ inp.b <= '0';
+ wait for 1 ns;
+ assert r = '0' severity failure;
+
+ inp.a <= 4;
+ inp.b <= '0';
+ wait for 1 ns;
+ assert r = '0' severity failure;
+
+ wait;
+ end process;
+end behav;