aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dff02/dff05.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/dff02/dff05.vhdl')
-rw-r--r--testsuite/synth/dff02/dff05.vhdl22
1 files changed, 22 insertions, 0 deletions
diff --git a/testsuite/synth/dff02/dff05.vhdl b/testsuite/synth/dff02/dff05.vhdl
new file mode 100644
index 000000000..0a4f5d567
--- /dev/null
+++ b/testsuite/synth/dff02/dff05.vhdl
@@ -0,0 +1,22 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity dff05 is
+ port (q : out std_logic_vector(7 downto 0);
+ d : std_logic_vector(7 downto 0);
+ clk : std_logic);
+end dff05;
+
+architecture behav of dff05 is
+begin
+ process (clk) is
+ begin
+ if rising_edge (clk) then
+ if d (7) = '1' then
+ q (0) <= d (0);
+ else
+ q (2) <= d (2);
+ end if;
+ end if;
+ end process;
+end behav;