aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/comp04/tb_comp05.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/comp04/tb_comp05.vhdl')
-rw-r--r--testsuite/synth/comp04/tb_comp05.vhdl28
1 files changed, 28 insertions, 0 deletions
diff --git a/testsuite/synth/comp04/tb_comp05.vhdl b/testsuite/synth/comp04/tb_comp05.vhdl
new file mode 100644
index 000000000..d2f3ad402
--- /dev/null
+++ b/testsuite/synth/comp04/tb_comp05.vhdl
@@ -0,0 +1,28 @@
+entity tb_comp05 is
+end tb_comp05;
+
+library ieee;
+use ieee.std_logic_1164.all;
+
+architecture behav of tb_comp05 is
+ signal v : std_logic_vector (7 downto 0);
+ signal r : std_logic_vector (7 downto 0);
+begin
+ comp05_1: entity work.comp05
+ port map (
+ v => v,
+ r => r);
+
+ process
+ begin
+ v <= b"1100_0011";
+ wait for 1 ns;
+ assert r = b"0011_1100" severity failure;
+
+ v <= b"1100_0010";
+ wait for 1 ns;
+ assert r = b"0011_1101" severity failure;
+
+ wait;
+ end process;
+end behav;