aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/block01/block01.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/block01/block01.vhdl')
-rw-r--r--testsuite/synth/block01/block01.vhdl21
1 files changed, 21 insertions, 0 deletions
diff --git a/testsuite/synth/block01/block01.vhdl b/testsuite/synth/block01/block01.vhdl
new file mode 100644
index 000000000..40dc1c601
--- /dev/null
+++ b/testsuite/synth/block01/block01.vhdl
@@ -0,0 +1,21 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity block01 is
+ port (q : out std_logic;
+ d : std_logic;
+ clk : std_logic);
+end block01;
+
+architecture behav of block01 is
+begin
+ b1 : block
+ begin
+ process (clk) is
+ begin
+ if rising_edge (clk) then
+ q <= d;
+ end if;
+ end process;
+ end block b1;
+end behav;