aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/anon01/tb_anon01.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/anon01/tb_anon01.vhdl')
-rw-r--r--testsuite/synth/anon01/tb_anon01.vhdl22
1 files changed, 22 insertions, 0 deletions
diff --git a/testsuite/synth/anon01/tb_anon01.vhdl b/testsuite/synth/anon01/tb_anon01.vhdl
new file mode 100644
index 000000000..88dc43939
--- /dev/null
+++ b/testsuite/synth/anon01/tb_anon01.vhdl
@@ -0,0 +1,22 @@
+entity tb_anon01 is
+end tb_anon01;
+
+architecture behav of tb_anon01 is
+ signal i, o : bit_vector(6 downto 0);
+begin
+ dut: entity work.anon01
+ port map (i, o);
+
+ process
+ begin
+ i <= b"000_0000";
+ wait for 1 ns;
+ assert o = b"010_0101" severity failure;
+
+ i <= b"111_1111";
+ wait for 1 ns;
+ assert o = b"101_1010" severity failure;
+
+ wait;
+ end process;
+end behav;