aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/pyunit/SimplePackage.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/pyunit/SimplePackage.vhdl')
-rw-r--r--testsuite/pyunit/SimplePackage.vhdl15
1 files changed, 0 insertions, 15 deletions
diff --git a/testsuite/pyunit/SimplePackage.vhdl b/testsuite/pyunit/SimplePackage.vhdl
deleted file mode 100644
index dca7e15dc..000000000
--- a/testsuite/pyunit/SimplePackage.vhdl
+++ /dev/null
@@ -1,15 +0,0 @@
-library ieee;
-use ieee.std_logic_1164.all;
-use ieee.numeric_std.all;
-
--- Documentation before pack_1
-package pack_1 is
- -- Global constant const_1
- constant const_1 : boolean := false;
-
-end package;
-
-package body pack_1 is
- constant const_2 : boolean := true;
-
-end package body;