diff options
Diffstat (limited to 'testsuite/pyunit/SimpleEntity.vhdl')
-rw-r--r-- | testsuite/pyunit/SimpleEntity.vhdl | 1 |
1 files changed, 1 insertions, 0 deletions
diff --git a/testsuite/pyunit/SimpleEntity.vhdl b/testsuite/pyunit/SimpleEntity.vhdl index a26a6357c..a61c1cf93 100644 --- a/testsuite/pyunit/SimpleEntity.vhdl +++ b/testsuite/pyunit/SimpleEntity.vhdl @@ -1,4 +1,5 @@ library ieee; +use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity e1 is |