diff options
Diffstat (limited to 'testsuite/gna')
-rw-r--r-- | testsuite/gna/issue2221/test.vhdl | 33 | ||||
-rwxr-xr-x | testsuite/gna/issue2221/testsuite.sh | 9 |
2 files changed, 42 insertions, 0 deletions
diff --git a/testsuite/gna/issue2221/test.vhdl b/testsuite/gna/issue2221/test.vhdl new file mode 100644 index 000000000..8114f07d1 --- /dev/null +++ b/testsuite/gna/issue2221/test.vhdl @@ -0,0 +1,33 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +entity test is +end entity; + +architecture rtl of test is + signal sig : std_logic; +begin +end architecture; + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +entity test1 is +end entity; + +architecture rtl of test1 is + alias sig is << signal i_test.sig : std_logic >>; + procedure p_test(signal sig1 : in std_logic) is + begin + end procedure; +begin + i_test : entity work.test; + + p_proc : process + begin + p_test(sig); + wait; + end process; +end architecture; diff --git a/testsuite/gna/issue2221/testsuite.sh b/testsuite/gna/issue2221/testsuite.sh new file mode 100755 index 000000000..3bdb0c42e --- /dev/null +++ b/testsuite/gna/issue2221/testsuite.sh @@ -0,0 +1,9 @@ +#! /bin/sh + +. ../../testenv.sh + +run $GHDL -s --std=08 test.vhdl + +clean + +echo "Test successful" |