diff options
Diffstat (limited to 'testsuite/gna')
-rw-r--r-- | testsuite/gna/issue1038/repro.vhdl | 24 | ||||
-rwxr-xr-x | testsuite/gna/issue1038/testsuite.sh | 11 |
2 files changed, 35 insertions, 0 deletions
diff --git a/testsuite/gna/issue1038/repro.vhdl b/testsuite/gna/issue1038/repro.vhdl new file mode 100644 index 000000000..bb2afed79 --- /dev/null +++ b/testsuite/gna/issue1038/repro.vhdl @@ -0,0 +1,24 @@ +package pkg is + type bv_array is array (natural range <>) of bit_vector; + subtype byte_array is bv_array(open)(7 downto 0); + + type mrec is record + b : boolean; + data : byte_array; + end record; +end pkg; + +use work.pkg.all; + +entity repro is +end; + +architecture behav of repro is +begin + process + variable a, b : mrec (data(0 to 3)); + begin + assert a = b; + wait; + end process; +end behav; diff --git a/testsuite/gna/issue1038/testsuite.sh b/testsuite/gna/issue1038/testsuite.sh new file mode 100755 index 000000000..8d22a2073 --- /dev/null +++ b/testsuite/gna/issue1038/testsuite.sh @@ -0,0 +1,11 @@ +#! /bin/sh + +. ../../testenv.sh + +export GHDL_STD_FLAGS=--std=08 +analyze repro.vhdl +elab_simulate repro + +clean + +echo "Test successful" |