diff options
Diffstat (limited to 'testsuite/gna/ticket9/index_range_test_B.vhd')
-rw-r--r-- | testsuite/gna/ticket9/index_range_test_B.vhd | 24 |
1 files changed, 24 insertions, 0 deletions
diff --git a/testsuite/gna/ticket9/index_range_test_B.vhd b/testsuite/gna/ticket9/index_range_test_B.vhd new file mode 100644 index 000000000..d28a358bd --- /dev/null +++ b/testsuite/gna/ticket9/index_range_test_B.vhd @@ -0,0 +1,24 @@ +--
+-- indexing testcase "B" derived from gna bug16782
+--
+-- ghdl-0.31-mcode on win32 : indexing off the end of a bit_vector results in an overflow exception message
+--
+
+entity index_range_test_B is
+end entity;
+
+architecture arch of index_range_test_B is
+
+ signal a : bit_vector(15 downto 0);
+ signal tmp : bit;
+ signal i : integer;
+
+ constant DATAPATH : natural := a'length;
+
+begin
+
+ i <= DATAPATH + 3;
+
+ tmp <= a(i);
+
+end architecture;
\ No newline at end of file |