aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket18/psl_test_error.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/ticket18/psl_test_error.vhd')
-rw-r--r--testsuite/gna/ticket18/psl_test_error.vhd4
1 files changed, 2 insertions, 2 deletions
diff --git a/testsuite/gna/ticket18/psl_test_error.vhd b/testsuite/gna/ticket18/psl_test_error.vhd
index aff436254..07a96d54f 100644
--- a/testsuite/gna/ticket18/psl_test_error.vhd
+++ b/testsuite/gna/ticket18/psl_test_error.vhd
@@ -42,7 +42,7 @@ begin
- -- psl statements
+ -- -psl statements
-- psl default clock is rising_edge(s_clk);
@@ -50,4 +50,4 @@ begin
-- psl assert always (s_write -> not(s_read)) report "ERROR: s_write and s_read active @ same time!";
-end architecture test; \ No newline at end of file
+end architecture test;