diff options
Diffstat (limited to 'testsuite/gna/perf02/mul_156.vhd')
-rw-r--r-- | testsuite/gna/perf02/mul_156.vhd | 27 |
1 files changed, 27 insertions, 0 deletions
diff --git a/testsuite/gna/perf02/mul_156.vhd b/testsuite/gna/perf02/mul_156.vhd new file mode 100644 index 000000000..14b2e927c --- /dev/null +++ b/testsuite/gna/perf02/mul_156.vhd @@ -0,0 +1,27 @@ +library ieee; +use ieee.std_logic_1164.all; + +library ieee; +use ieee.numeric_std.all; + +entity mul_156 is + port ( + output : out std_logic_vector(63 downto 0); + in_a : in std_logic_vector(32 downto 0); + in_b : in std_logic_vector(31 downto 0) + ); +end mul_156; + +architecture augh of mul_156 is + + signal tmp_res : signed(64 downto 0); + +begin + + -- The actual multiplication + tmp_res <= signed(in_a) * signed(in_b); + + -- Set the output + output <= std_logic_vector(tmp_res(63 downto 0)); + +end architecture; |