diff options
Diffstat (limited to 'testsuite/gna/issue737/ent4.vhdl')
-rw-r--r-- | testsuite/gna/issue737/ent4.vhdl | 13 |
1 files changed, 13 insertions, 0 deletions
diff --git a/testsuite/gna/issue737/ent4.vhdl b/testsuite/gna/issue737/ent4.vhdl new file mode 100644 index 000000000..6935ac99b --- /dev/null +++ b/testsuite/gna/issue737/ent4.vhdl @@ -0,0 +1,13 @@ +entity ent4 is +end entity; + +architecture impl of ent4 is + type bitvv is array(natural range <>) of bit_vector; + signal foo: bitvv(2 downto 0)(0 downto 0); + signal bar: bitvv(1 downto 0)(0 downto 0); +begin + +foo(2 downto 1) <= bar; +foo(0) <= foo(2); + +end architecture; |