aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue238/repro1.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue238/repro1.vhdl')
-rw-r--r--testsuite/gna/issue238/repro1.vhdl15
1 files changed, 15 insertions, 0 deletions
diff --git a/testsuite/gna/issue238/repro1.vhdl b/testsuite/gna/issue238/repro1.vhdl
new file mode 100644
index 000000000..5dcbf8e76
--- /dev/null
+++ b/testsuite/gna/issue238/repro1.vhdl
@@ -0,0 +1,15 @@
+ENTITY repro1 IS
+ TYPE foo_t IS RECORD
+ bar : bit_vector;
+ END RECORD foo_t;
+END ENTITY repro1;
+
+ARCHITECTURE bar OF repro1 IS
+BEGIN
+ process
+ variable baz : foo_t(bar(1 DOWNTO 0));
+ begin
+ wait;
+ end process;
+
+END ARCHITECTURE bar;