aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2244/repro3.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue2244/repro3.vhdl')
-rw-r--r--testsuite/gna/issue2244/repro3.vhdl21
1 files changed, 21 insertions, 0 deletions
diff --git a/testsuite/gna/issue2244/repro3.vhdl b/testsuite/gna/issue2244/repro3.vhdl
new file mode 100644
index 000000000..a3a4879a3
--- /dev/null
+++ b/testsuite/gna/issue2244/repro3.vhdl
@@ -0,0 +1,21 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity repro3 is
+end repro3;
+
+architecture rtl of repro3 is
+ signal c_a : std_logic_vector(11 downto 0) := x"FAE";
+
+ procedure check (v : std_logic_vector) is
+ begin
+ report "v = " & to_hstring (v);
+ assert v'ascending = false report "bad direction" severity failure;
+ end check;
+begin
+ expected_value : process
+ begin
+ check ((15 downto 12 => c_a(11), 11 downto 0 => c_a));
+ wait;
+ end process;
+end rtl;