diff options
Diffstat (limited to 'testsuite/gna/issue2116/attr4.vhdl')
-rw-r--r-- | testsuite/gna/issue2116/attr4.vhdl | 4 |
1 files changed, 4 insertions, 0 deletions
diff --git a/testsuite/gna/issue2116/attr4.vhdl b/testsuite/gna/issue2116/attr4.vhdl new file mode 100644 index 000000000..4993b0feb --- /dev/null +++ b/testsuite/gna/issue2116/attr4.vhdl @@ -0,0 +1,4 @@ +library ieee;use ieee.std_logic_1164;entity d is +port(s:std'r);end entity;architecture c of t is +begin +t;end architecture;
\ No newline at end of file |