diff options
Diffstat (limited to 'testsuite/gna/issue1943/ent.vhdl')
-rw-r--r-- | testsuite/gna/issue1943/ent.vhdl | 22 |
1 files changed, 22 insertions, 0 deletions
diff --git a/testsuite/gna/issue1943/ent.vhdl b/testsuite/gna/issue1943/ent.vhdl new file mode 100644 index 000000000..645ddae27 --- /dev/null +++ b/testsuite/gna/issue1943/ent.vhdl @@ -0,0 +1,22 @@ +entity some_entity is +end entity; + +package some_generic_package is + generic ( + some_param : natural + ); + + component some_component is + end component; +end package; + +package some_package_instance is new work.some_generic_package + generic map( + 8 + ); + +use work.some_package_instance.all; + +architecture some_architecture of some_entity is +begin +end architecture; |