aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1500/test.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue1500/test.vhdl')
-rw-r--r--testsuite/gna/issue1500/test.vhdl25
1 files changed, 25 insertions, 0 deletions
diff --git a/testsuite/gna/issue1500/test.vhdl b/testsuite/gna/issue1500/test.vhdl
new file mode 100644
index 000000000..a2baa3538
--- /dev/null
+++ b/testsuite/gna/issue1500/test.vhdl
@@ -0,0 +1,25 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+entity test is
+end test;
+
+architecture test of test is
+
+ subtype byte is unsigned (7 downto 0);
+ type arr_t is array (0 to 1) of byte;
+ signal arr: arr_t;
+
+begin
+
+ process is
+ begin
+ arr <= (B"11111111" others => B"00000000");
+ -- ^^^^ no comma
+ wait for 1 ns;
+ report to_string(arr(0)); -- reports 00000000
+ wait;
+ end process;
+
+end test;