diff options
Diffstat (limited to 'testsuite/gna/issue1379/bar0.vhdl')
-rw-r--r-- | testsuite/gna/issue1379/bar0.vhdl | 35 |
1 files changed, 35 insertions, 0 deletions
diff --git a/testsuite/gna/issue1379/bar0.vhdl b/testsuite/gna/issue1379/bar0.vhdl new file mode 100644 index 000000000..303d80516 --- /dev/null +++ b/testsuite/gna/issue1379/bar0.vhdl @@ -0,0 +1,35 @@ +entity foo is + generic ( + LENGTH : natural + ); + port ( + input : in bit_vector(LENGTH - 1 downto 0) + ); +end foo; + +architecture behave of foo is +begin +end behave; + +entity bar is +end entity bar; + +architecture behave of bar is + component foo is + port ( + input : in bit_vector(7 downto 0) + ); + end component; + +begin + + my_foo : foo + port map ( + input => (others => '0') + ); +end behave; + +configuration cfg of bar is + for cfg + end for; +end cfg; |