aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1256/vpi_plugin.c
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue1256/vpi_plugin.c')
-rw-r--r--testsuite/gna/issue1256/vpi_plugin.c6
1 files changed, 3 insertions, 3 deletions
diff --git a/testsuite/gna/issue1256/vpi_plugin.c b/testsuite/gna/issue1256/vpi_plugin.c
index 6771bc7d6..8eb2a830f 100644
--- a/testsuite/gna/issue1256/vpi_plugin.c
+++ b/testsuite/gna/issue1256/vpi_plugin.c
@@ -82,9 +82,9 @@ static PLI_INT32 rw_cb(p_cb_data data){
if(iteration > 0) {
vpi_get_value(enum_decoded_handle, &val);
val.format = vpiBinStrVal;
- printf("enum decoded = %s iteration %d \n", val.value.str, iteration);
+ printf("enum decoded = %s iteration %u \n", val.value.str, iteration);
vpi_get_value(enum_out_handle, &val);
- printf("enum out = %s iteration %d \n", val.value.str, iteration);
+ printf("enum out = %s iteration %u \n", val.value.str, iteration);
val.format = vpiBinStrVal;
}
@@ -92,7 +92,7 @@ static PLI_INT32 rw_cb(p_cb_data data){
if(iteration < STOP_ITERATION) {
val.value.str = (char *) input_values[iteration];
- printf("enum in <= %s iteration %d \n", val.value.str, iteration);
+ printf("enum in <= %s iteration %u \n", val.value.str, iteration);
vpi_put_value(enum_in_handle, &val, NULL, vpiNoDelay);
register_cb(delay_ro_cb, cbAfterDelay, 1);
} else {