diff options
Diffstat (limited to 'testsuite/gna/bug047/repro_arith.vhdl')
-rw-r--r-- | testsuite/gna/bug047/repro_arith.vhdl | 1 |
1 files changed, 1 insertions, 0 deletions
diff --git a/testsuite/gna/bug047/repro_arith.vhdl b/testsuite/gna/bug047/repro_arith.vhdl index 4160c2f73..f951108bf 100644 --- a/testsuite/gna/bug047/repro_arith.vhdl +++ b/testsuite/gna/bug047/repro_arith.vhdl @@ -1,4 +1,5 @@ library ieee; +use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; entity repro_arith is |